C114门户论坛百科APPEN| 举报 切换到宽版

亚星游戏官网

 找回密码
 注册

只需一步,快速开始

短信验证,便捷登录

搜索

军衔等级:

亚星游戏官网-yaxin222  新兵

注册:2015-4-30
发表于 2015-6-3 16:33:38 |显示全部楼层
对于这两方面的内容,目前都是出于一种学习的阶段,如果有也在做这方面工作的同学,可以联系我,大家一起学习和探讨。还有就是请教大家,现在在做这个的fpga的实现,需要把重点放在什么地方呢?

举报本楼

您需要登录后才可以回帖 登录 | 注册 |

手机版|C114 ( 沪ICP备12002291号-1 )|联系大家 |网站地图  

GMT+8, 2024-9-28 17:31 , Processed in 0.109833 second(s), 15 queries , Gzip On.

Copyright © 1999-2023 C114 All Rights Reserved

Discuz Licensed

回顶部
XML 地图 | Sitemap 地图